IDEAS home Printed from https://ideas.repec.org/a/gam/jeners/v15y2022i18p6780-d917193.html
   My bibliography  Save this article

Developing a TEI-Aware PMIC for Ultra-Low-Power System-on-Chips

Author

Listed:
  • Kyu-Bae Lee

    (School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 06974, Korea)

  • Jina Park

    (School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 06974, Korea)

  • Eunjin Choi

    (School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 06974, Korea)

  • Mingi Jeon

    (School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 06974, Korea)

  • Woojoo Lee

    (School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 06974, Korea)

Abstract

As the demand for ultra-low-power (ULP) devices has increased tremendously, system-on-chip (SoC) designs based on ultra-low-voltage (ULV) operation have been receiving great attention. Moreover, research has shown the remarkable potential that even more power savings can be achieved in ULV SoCs by exploiting the temperature effect inversion (TEI) phenomenon, i.e., the delay of the ULV SoCs decreases with increasing temperature. However, TEI-aware low-power (TEI-LP) techniques have a critical limitation in practical terms, in that dedicated power management-integrated circuits (PMICs) have not yet been developed. In other words, it is essential to develop PMICs that automatically bring out the full potential of the TEI-LP techniques as the chip temperature changes. With the aim of designing such PMICs, this paper first conducted a study to find the most suitable DC-DC converter for PMICs and then developed a control algorithm to maximize the effectiveness of the TEI-LP techniques. Furthermore, we have developed a compact hardware controller for the algorithm to operate most energy efficiently on ULP-SoCs.

Suggested Citation

  • Kyu-Bae Lee & Jina Park & Eunjin Choi & Mingi Jeon & Woojoo Lee, 2022. "Developing a TEI-Aware PMIC for Ultra-Low-Power System-on-Chips," Energies, MDPI, vol. 15(18), pages 1-12, September.
  • Handle: RePEc:gam:jeners:v:15:y:2022:i:18:p:6780-:d:917193
    as

    Download full text from publisher

    File URL: https://www.mdpi.com/1996-1073/15/18/6780/pdf
    Download Restriction: no

    File URL: https://www.mdpi.com/1996-1073/15/18/6780/
    Download Restriction: no
    ---><---

    Corrections

    All material on this site has been provided by the respective publishers and authors. You can help correct errors and omissions. When requesting a correction, please mention this item's handle: RePEc:gam:jeners:v:15:y:2022:i:18:p:6780-:d:917193. See general information about how to correct material in RePEc.

    If you have authored this item and are not yet registered with RePEc, we encourage you to do it here. This allows to link your profile to this item. It also allows you to accept potential citations to this item that we are uncertain about.

    We have no bibliographic references for this item. You can help adding them by using this form .

    If you know of missing items citing this one, you can help us creating those links by adding the relevant references in the same way as above, for each refering item. If you are a registered author of this item, you may also want to check the "citations" tab in your RePEc Author Service profile, as there may be some citations waiting for confirmation.

    For technical questions regarding this item, or to correct its authors, title, abstract, bibliographic or download information, contact: MDPI Indexing Manager (email available below). General contact details of provider: https://www.mdpi.com .

    Please note that corrections may take a couple of weeks to filter through the various RePEc services.

    IDEAS is a RePEc service. RePEc uses bibliographic data supplied by the respective publishers.